Skip to main content
In the News

MyNavi News Article: "BROOM" High-Performance RISC-V Core

By October 1, 2018May 12th, 2021No Comments

UC Berkeley developed BROOM, an open-source out-of-order processor with resilient low-voltage operation in 28nm CMOS.
To read more, please visit: https://news.mynavi.jp/article/broom-1/. Please note the article is in Japanese.

Stay Connected With RISC-V

We send occasional news about RISC-V technical progress, news, and events.