Skip to main content
In the News

DAC 61: EDA addressing growing system complexity

By July 3, 2024July 9th, 2024No Comments

At this year’s Design Automation Conference (DAC), I was told that the committee had received some 1,500 technical paper and presentation submissions, and a 34% increase in research paper submissions, reflecting the many different approaches and requirements for growing electronics systems design complexity. That’s why the whole event was also rebranded to being the ‘chips to systems conference’.

This meant that the keynotes were not just from EDA company executives as in previous years – but more from systems companies. For example, this year opened with a keynote from Jim Keller, CEO of Tenstorrent, in which he talked about building AI with RISC-V. In fact, the agenda, on top of the core EDA, IP and embedded systems topics, embraced the wider system challenges from AI, autonomous systems, and security. Hence the keynotes were around AI, systems foundry, and immersive computing.

Read the full article.

Stay Connected With RISC-V

We send occasional news about RISC-V technical progress, news, and events.