Skip to main content
In the News

[VIDEO] Accelerate your adoption of RISC-V with CORE-V-VERIF

By September 30, 2024October 3rd, 2024No Comments

CORE-V-VERIF is an open-source project supported by the OpenHW Group. Its goal is to provide an open-source environment and work-flow that can be deployed onto any RISC-V processor core. Since December of 2020, OpenHW Group members have successfully used CORE-V-VERIF for end-to-end verification of more than six RISC-V cores. Mike is a functional verification engineer and manager who has been involved in all aspects of the discipline: simulation, emulation, prototyping and formal verification. He is strong proponent of coverage driven processes in the pursuit of first-time-right silicon.

Watch the video.

Stay Connected With RISC-V

We send occasional news about RISC-V technical progress, news, and events.