Skip to main content
In the News

Google Research Releases Circuit Training, an Open-Source Framework for Automated Chip Floorplanning | Gareth Halfacree, AB Open

By January 21, 2022January 25th, 2022No Comments

Google Research has released the source code for a chip floor-plan generate based on deep reinforcement learning – after publishing a paper demonstrating how effective the approach could be in April last year.

“Chip floorplanning is the engineering task of designing the physical layout of a computer chip,” the research team explained in the abstract to their paper. “Despite five decades of research, chip floorplanning has defied automation, requiring months of intense effort by physical design engineers to produce manufacturable layouts.

“Here we present a deep reinforcement learning approach to chip floorplanning. In under six hours, our method automatically generates chip floorplans that are superior or comparable to those produced by humans in all key metrics, including power consumption, performance and chip area.”

Read the full article. 

Stay Connected With RISC-V

We send occasional news about RISC-V technical progress, news, and events.